Lógica asíncrona

La versión actual de la página aún no ha sido revisada por colaboradores experimentados y puede diferir significativamente de la versión revisada el 21 de diciembre de 2021; las comprobaciones requieren 54 ediciones .

La lógica asíncrona  es un tipo de interacción de elementos lógicos de dispositivos digitales . Se diferencia del síncrono en que sus elementos actúan de forma asíncrona , no obedeciendo al generador de reloj global .

Descripción

Los circuitos asíncronos están controlados por dos señales: una solicitud , que se emite después de configurar las entradas, y una respuesta . Con respecto a un par de estas señales, el proceso transitorio en un circuito asíncrono está modelado por un elemento de retardo , cuyo valor es finito y desconocido de antemano. En los circuitos síncronos, las anomalías en el comportamiento dinámico (concursos y riesgos) se enmascaran mediante un generador de reloj. Para combatir anomalías en circuitos asíncronos se utiliza el mecanismo de indicación [1] , que fija los momentos de finalización de los procesos transitorios. La disponibilidad de las señales de indicación está determinada por los valores de los retrasos reales, que pueden variar y depender de las condiciones de funcionamiento del circuito (por ejemplo, de la temperatura). Físicamente, el indicador del final de los procesos transitorios en el circuito puede estar ausente, entonces su papel lo desempeñan códigos autosincrónicos especiales [2] [3] . Por lo tanto, en comparación con los circuitos síncronos, los circuitos asíncronos generalmente contienen más elementos lógicos. Las principales ventajas de los circuitos asíncronos frente a los síncronos son [4] [5] :

Los circuitos síncronos de casi cualquier nivel de complejidad se pueden implementar en FPGA relativamente baratos . Por el contrario, los circuitos estrictamente autosincrónicos imponen unos requisitos muy estrictos a la estructura interna de la FPGA [6] [7] y prácticamente la única solución es fabricar la FPGA por encargo [8] [9] [10] [11] . Sin embargo, vale la pena señalar los intentos de implementar circuitos asíncronos en ROM bipolar [12] [13] , PAL estándar (CPLD) [14] [15] y FPGA [16] [17] [18] . Dado que los FPGA estándar son dispositivos síncronos, es relativamente fácil construir circuitos adaptados a la latencia [19] [20] [21] y, más difícil, circuitos localmente síncronos (GALS) [22] en ellos . La mayoría de los FPGA estándar carecen de los medios para implementar árbitros. Una forma de sortear esta limitación se presenta en [16] . En el artículo [17] , para implementar un circuito estrictamente autosincrónico, se propone modificar la FPGA Atmel AT40K con un tamaño de celda unitaria muy fina (grano fino) [23] [24] .

Observaciones generales

Modelos y clasificación de circuitos asíncronos

Un esquema asíncrono se puede considerar como una implementación de hardware de un programa distribuido en paralelo [4] . Para ejecutar dicho programa a tiempo, generalmente se necesita algún mecanismo, mientras que un esquema asíncrono no necesita este mecanismo. Los análogos de operadores y comandos en el esquema asíncrono son elementos lógicos, disparadores o módulos jerárquicos complejos. El papel de los datos intercambiados entre los elementos del circuito lo desempeña la conmutación de señales. Así, todos los eventos en el nivel del esquema están ordenados en el tiempo a través de relaciones de causa y efecto. El orden establecido por el desarrollador debe almacenarse en el esquema, es decir, generarse realmente, lo que finalmente asegura el correcto funcionamiento. En general, la clasificación de los circuitos autotemporizados es bastante compleja y ambigua [1] [34] . Sin embargo, existen al menos dos modelos bastante generales de tales circuitos con diferentes supuestos sobre el retardo en los elementos, cables y sus conexiones [35] [36] :

  1. Modelo de retardo acotado (modelo de Huffman [37] ), que asume el máximo retardo de propagación de la señal en el circuito (peor caso). Para construir tales circuitos, debe introducir un retraso en el circuito de retroalimentación o usar la sincronización local. Por tanto, los circuitos construidos de acuerdo con el modelo de Huffman no son estrictamente autosincrónicos. Un ejemplo del uso del modelo de Huffman son varias variantes de microductos ( micropipelines ) con un retraso emparejado [38] [39] [40] [41] . En general, los modelos que no son de Huffman son modelos que utilizan lenguajes de especificación dinámica para el análisis o la síntesis formal. Es difícil imaginar dispositivos operativos de esta manera.
  2. Modelo de retardo ilimitado al punto de bifurcación (modelo de Muller [ 42] [43] [44] ), que supone que la diferencia en el retardo del cable después de la bifurcación es menor que el retardo mínimo del elemento. Los esquemas construidos de acuerdo con el modelo Muller se dividen en varias clases:
    • circuitos que no dependen de la velocidad ( circuitos SI independientes de la velocidad );
    • esquemas semimodulares y/o distributivos ;
    • Circuitos QDI cuasi-insensibles al retardo .

Los esquemas distributivos son un subconjunto de esquemas semimodulares, que a su vez son un subconjunto de esquemas SI. En la práctica, la clase de esquema SI es equivalente a la clase QDI. La teoría y los métodos para diseñar circuitos QDI están bien desarrollados y, por lo tanto, dichos circuitos son los más populares para su implementación.

Los sistemas asincrónicos complejos no pueden representarse sin ambigüedades ni por el modelo de Huffman ni por el modelo de Muller. Dichos sistemas se pueden construir como máquinas de estado asíncronas [45] [46] o, a gran escala, como conjuntos de microprocesadores asíncronos [47] [48] usando control de microprograma [49] [50] [51] [52] . Dichos kits están representados por las series K587 [53] [54] , K588 [55] y K1883 (U83x en la RDA ) [56] . Es aconsejable comenzar a aprender cómo diseñar circuitos autotemporizados secuenciales complejos implementando un procesador MC14500B simple de un solo bit y combinando dichos procesadores en una estructura informática [57] .

Condicionamiento fuerte (Y) y débil (OR)

En un nivel intuitivo, la causalidad en los circuitos asíncronos es la dependencia del orden de aparición de las señales de salida en el orden de aparición de las señales de entrada. Esta dependencia puede ser fuerte (AND) y débil (OR), lo que corresponde a esquemas con indicación completa (full indicación) y evaluación temprana (early Evaluation) [58] .

Supongamos que algún evento tiene dos causas: y . El condicionamiento and asume que ambos eventos deben ocurrir antes de que el evento pueda ocurrir . Así, en el caso de AND, cada causa precede fuertemente al resultado. Un análogo de tal comportamiento en sociología es el colectivismo y la asociación. En el caso del condicionamiento OR, un evento puede ocurrir después de cualquiera de los eventos o haber ocurrido (individualismo saludable).

Así, en el caso OR, el resultado ocurre si al menos un evento del conjunto de causas débiles ha ocurrido. Para determinar cómo se comporta un evento después de que hayan ocurrido sus dos causas débiles , se introducen los conceptos de condicionamiento conjunto e incompatible [59] [60] (respectivamente, individualismo controlado e incontrolado). Para dos señales de entrada, el condicionamiento I se modela utilizando un disparador de histéresis (gatillo G, elemento C de Muller ) dado por la ecuación . El modelo de condicionalidad OR conjunta es un elemento OR inclusivo (OR inclusivo, EDLINCOR) [61] , que utiliza la salida de un disparador de histéresis y viene dado por la ecuación . El modelo de condicionamiento OR completamente inconsistente es un esquema basado en árbitros.

Considere un circuito asíncrono que tiene un elemento OR de dos entradas (elemento AND de dos entradas). En la fase de borrado, el código 00 se establece en la entrada del elemento OR y el código 11 se establece en la entrada del elemento AND . En la fase de funcionamiento, las entradas cambiarán a 1 (0) una por una . Es necesario indicar ambos cambios, pero en el caso del condicionamiento OR, el proceso se desarrollará a lo largo de una entrada, y luego la segunda entrada se indica en alguna parte. En otras palabras, el proceso comienza a bifurcarse en el primer cambio de entrada, sin esperar al segundo, es decir sin sincronización con la segunda señal. Cuantos más elementos de este tipo, mayor es el paralelismo en el circuito. La sincronización de entradas es posible, pero no deseable, ya que sería un proceso diferente con menos paralelismo.

Existen dos métodos principales para modelar O acondicionar en redes de Petri (o STG). Una forma es alejarse de la representación explícita del paralelismo en el nivel de las transiciones de la red de Petri al nivel de la llamada semántica entrelazada (es decir, con elección en las trazas), manteniendo la seguridad 1 de la red de Petri. Otra forma es mantener la representación explícita del paralelismo, pero en este caso la red de Petri se convierte en no 1-seguro [60] . Por lo tanto, el condicionamiento OR se describe como una red de Petri insegura pero estable o segura pero inestable.

Ambos tipos de condicionamiento conducen a esquemas semimodulares. Sin embargo, en el caso del condicionamiento AND, estos esquemas son distributivos y, en el caso del OR, no son distributivos. Los esquemas distributivos se pueden construir a partir de elementos de un solo tipo (por ejemplo, NAND o NOR), mientras que los no distributivos requieren el uso de ambos tipos de elementos. En el caso de una red de Petri insegura pero estable, también es necesario lidiar con la acumulación de puntos en los vértices de la causalidad OR. Las metodologías DIMS y NCL, como cualquier otra metodología de indicación completa, tienen todas las ventajas y desventajas del condicionamiento AND. Los gráficos de transición de señal, en su forma más simple, también brindan una indicación completa. Los diagramas de cambio le permiten modelar el condicionamiento AND y OR conjunto, pero no pueden representar directamente procesos con conflictos o elecciones.

El teorema de conexión para circuitos semimodulares

Sean los circuitos y semimodulares con respecto a los estados y , respectivamente, y sea la salida del inversor del circuito . Abramos el nodo del circuito para que se formen una entrada y una salida . Supongamos que entre los estados a los que pueden ir los circuitos y desde y , están aquellos y en los que el valor de la señal en la entrada y salida del inversor coincide con y con, respectivamente. Retiramos el inversor del circuito para que se forme una entrada y una salida . Conéctate con y con . Se puede argumentar que el esquema resultante es semimodular con respecto al estado . Una prueba intuitiva del teorema se da en [1] . Una prueba matemática rigurosa se puede encontrar en [31] . Es importante señalar que la conexión de dos circuitos según el teorema requiere el cumplimiento de dos condiciones: 1) debe haber un inversor en uno de los circuitos y 2) la presencia de estados y . Estas condiciones no siempre se cumplen y, por lo tanto, ningún circuito semimodular puede combinarse en uno solo. En [2] se da una generalización del teorema para condiciones más suaves . Un caso particular de uso del teorema es aumentar la velocidad de contadores con acarreo secuencial [62] [63] [64] [65] . En el caso general, la aplicación del teorema da un circuito cualitativamente nuevo a partir de componentes conocidos, por ejemplo, una tubería en G-flip-flops + un flip-flop estático = un registro de desplazamiento asíncrono.

Línea de comunicación de dos hilos

Los circuitos síncronos simples se pueden interconectar casi sin problemas. Si no hay carreras de señales críticas en el circuito complejo resultante, será operable. La conexión de circuitos asíncronos es mucho más complicada, en el circuito complejo resultante se puede perder la propiedad de asincronía. El resultado de esto será una parada de trabajo, o viceversa, la generación de una ráfaga de pulsos. Si no considera el cable común, la señal del reloj al circuito síncrono se alimenta a través de un cable. También es posible conectar circuitos asíncronos por un cable [66] , pero para esto necesita usar un código especial autosíncrono en serie. En comparación con el código paralelo, esto significa un rendimiento más lento y costos adicionales de hardware. Para mejorar el rendimiento, puede representar el separador (spacer) con el tercer nivel de la señal [67] [68] . Esto también permite reducir la cantidad de cables (si no hay más de dos capas de metalización), pero no permite cambiar líneas de diferentes maestros a diferentes ejecutantes, es decir, no es adecuado para estructuras de bus. Dado que en las tecnologías modernas se utilizan de 7 a 14 capas de metalización, no tiene sentido ahorrar en cables de esta manera. Los dos hilos permiten el uso de un protocolo de comunicación de dos fases [69] [70] [71] . Este enfoque fue utilizado por primera vez por D. E. Maller para construir una microtubería estrictamente autosincrónica [70] . Cercano a este método está la Síntesis Minterm Insensible al Retardo (DIMS) [72] . La metodología Null Convention Logic (NCL) [73] también está destinada a la síntesis de microtuberías estrictamente autosincrónicas. A diferencia de DIMS, que usa elementos C, NCL usa flip-flops G de entrada múltiple llamados elementos de umbral y un código M-de-N autotemporizado. En algunos casos, esto le permite construir circuitos más simples. Tenga en cuenta que debido al uso de G-flip-flops, las microtuberías DIMS y NCL implementan solo el condicionamiento I [74] . En [75] [76] se analizan algunas formas de crear microcanalizaciones con condicionalidad OR . Los circuitos de microtuberías estrictamente autosincrónicos también se pueden sintetizar al compilar programas de lenguajes de alto nivel. Sin embargo, cabe esperar que los esquemas obtenidos de esta forma no sean óptimos. Por ejemplo, el sumador sintetizado en [77] es más complicado que el propuesto en [78] .

Primitivas asíncronas

La idea de usar primitivas para construir un circuito asíncrono es similar a la idea de un constructor. Los detalles de dicho constructor deben ser lo más genéricos posible [4] . Por regla general, se describen mediante fragmentos de redes de Petri estables y seguras [79] [44] . Las primitivas asíncronas más famosas son:

Registro de búfer

Propuesto por primera vez en [70] bajo el nombre de retraso de doble línea (ver también [71] [1] ) y es más conocido como medio búfer de condición débil, WCHB [80] .

Célula de David

Nombrado en honor al ingeniero francés René David, quien lo propuso por primera vez [81] . La implementación del transistor de la celda se denomina búfer de un lugar, cuyas generalizaciones se analizan en [1] [2] [3] [49] [82] [83] [84] .

Esquema de reingreso

Fue propuesto por primera vez en [1] y mejorado en [2] . La última opción se analiza en [3] y se conoce como circuito de uso múltiple , elemento D, elemento Q [ 87] y elemento S [30] [88] .

Disparador de conteo

También llamado toggle es un divisor de frecuencia por dos, que asegura la finalización de los transitorios. Las primeras versiones de alternar basadas en entradas invertidas se encuentran en [31] [94] [95] [96] . El diagrama de transición del circuito [94] se muestra en la fig. 5,31 en [2] . Se supone que el retraso de los inversores de entrada en todos estos esquemas es cero, y el elemento XOR o el elemento XNOR sirven como indicador. En [97] se da una variante de alternar usando puertas duales 1Y-2O-NO y 1O-2Y-NO . Tenga en cuenta que dicha implementación se conoce al menos desde 1971 [98] . Otra variante de alternar utilizando los mismos elementos y dos inversores se propone en [99] y se analiza en detalle en [100] . La implementación de alternar solo en elementos NAND (OR-NOT) [1] [2] a veces se denomina disparador de Harvard y se conoce desde al menos 1964 [101] . En [102] [103] [104] se dan circuitos compactos estáticos Harvard CMOS flip-flop , y en [105] un circuito con resistencias de carga . El esquema dinámico del disparador de conteo, donde el estado anterior se almacena en los tanques, se da en [106] . Tenga en cuenta que la mayoría de los flip-flops de conteo son circuitos secuenciales y, por lo tanto, solo se pueden implementar en elementos 2I-NOT. Hay, sin embargo, esquemas distributivos para contar disparadores. Por ejemplo, en [107] , un circuito distributivo y obviamente engorroso se describe en cuatro elementos lógicos y dos elementos C. Un ejemplo más exitoso es el esquema distributivo de un flip-flop JK en 2I-NOT. Combinando las entradas J y K, obtenemos un flip-flop de conteo.

La conexión en serie de los flip-flops de conteo da un contador de varios dígitos, en el que el número de operaciones de descarga es la mitad del número de operaciones de descarga . Para garantizar la independencia de los retrasos en dichos contadores, generalmente se utiliza un indicador de la finalización de los transitorios en todos los dígitos [1] . El esquema de contador de tuberías se propuso por primera vez en [1] , se patentó en [108] y se reimprimió en [2] . Las especificaciones y esquemas para contadores con tiempo de respuesta constante se dan en [109] [110] [97] . Además, en [97] , se proporciona un contador secuencial con un retraso de propagación de acarreo. En [111] se propuso un contador programable, en el que la interacción con el entorno se realiza a través del último bit. Debido a esto, se logra un tiempo de reacción constante entre la solicitud al mostrador y la respuesta. La respuesta que se recibe después de N solicitudes es una señal con una frecuencia dividida por N.

Metodologías de diseño

Al diseñar un circuito asíncrono, debe hacer una suposición sobre los retrasos. La metodología de autosincronización utiliza la hipótesis de Muller con respecto a los retrasos en los cables: todo el retraso en los cables se lleva a la salida del elemento y se puede despreciar la dispersión de los retrasos en los cables después de una bifurcación. En este caso, los cables generalmente se excluyen de la consideración. La violación de la hipótesis de Muller conduce a la violación de la causalidad del comportamiento, que es la base lógica de la autosincronización. La causalidad requiere que cada evento en el sistema sea la causa de al menos otro evento (la propiedad indicadora de los sistemas autosincrónicos [2] ). En estructuras lógicas, a diferencia de los sistemas de transmisión, un cambio en el estado de un trozo de cable después de una bifurcación puede no conducir a la conmutación del elemento lógico y, por lo tanto, no estar indicado. En este caso, el trozo de alambre comienza a actuar como un elemento de memoria. Para combatir esto, es decir, para construir circuitos que no dependan de retrasos en los cables, es necesario usar disciplinas de conmutación especiales (que reducen la clase de circuitos implementados [112] ), o el uso de lógicas o topológicas especiales. construcciones, como bifurcaciones isócronas [ 113] [114] [115] o bifurcaciones de campo [116] [117] , que requieren la introducción de nuevas hipótesis y/o técnicas de diseño específicas de la tecnología. Este problema se exacerba a medida que aumenta la influencia de los retrasos en los cables y la propagación de estos retrasos. La gran mayoría de las metodologías de diseño modernas conducen a circuitos que son casi insensibles al retardo, es decir, circuitos en los que todas las ramas son lo suficientemente cortas y, por lo tanto, isócronas [118] [119] . El problema principal de la síntesis de circuitos asíncronos se formula de la siguiente manera [120] [121] . Se establece una especificación que simula un proceso real. Luego se analiza para revelar tanto las propiedades beneficiosas como las anómalas del proceso. Con base en los resultados del análisis, se modifica la especificación original para prevenir y/o eliminar anomalías. Según la nueva especificación modificada, se sintetiza un circuito cuyo comportamiento coincide con la especificación original. En [122] se proporciona una breve lista de métodos para analizar y sintetizar circuitos asíncronos basados ​​en modelos de tipo de evento . El ciclo completo de uso de estos modelos en herramientas de desarrollo modernas se analiza en [123] . Los métodos de síntesis basados ​​en la compilación de programas a partir de lenguajes de alto nivel, así como en la teoría de las huellas, se consideran en [124] [125] [126] .

Redes de Petri

Para modelar el comportamiento de los circuitos lógicos se suelen utilizar redes de Petri estables y seguras [44] . Sin embargo, dichas redes no pueden modelar los primeros resultados porque la activación de la transición se basa en el condicionamiento AND. Para describir el condicionamiento OR, la red debe ser insegura (más de un token en una posición). Una vez especificado el comportamiento del circuito, es necesario transformar la red de Petri en un diagrama de cambio (diagrama de Muller), que es un gráfico con vértices denotados por un vector de salidas de elementos estables y excitados. A continuación, debe asegurarse de que el diagrama resultante sea semimodular. De lo contrario, esto significa que la descripción inicial del esquema de la red de Petri está incompleta y se deben introducir eventos adicionales. Si el diagrama de cambios es semimodular, entonces es posible construir las funciones de excitación de los elementos a partir del diagrama de transición. Además, si estas funciones están en la lista de elementos de la base de la implementación, entonces todo está en orden. De lo contrario, debe introducir variables adicionales y, por lo tanto, cambiar la tarea original de tal manera que todas las funciones de los elementos correspondan a las funciones de la base de implementación. Este problema es muy complejo y su solución formal está lejos de ser una implementación óptima.

Gráficos de señales

Basado en redes de Petri, las transiciones en las que se etiquetan con nombres de señales. Se propusieron por primera vez en [131] y se describen con más detalle en dos enfoques diferentes en [132] y [133] . Mejor conocido ahora bajo el nombre de Inglés.  Gráficos de transición de señal, STG [134] .

La clase STG más simple, STG/MG, corresponde a la clase de gráficos de red de Petri etiquetados. Estas son redes de Petri donde cada posición tiene como máximo una transición de entrada y una transición de salida. En un gráfico de este tipo, solo se pueden eliminar los marcadores de una posición a través de una sola transición que se aleja de ella, y una transición, una vez habilitada, solo se puede deshabilitar en el inicio real, por lo que una situación en la que A o B puede ocurrir, pero no ambos, no se puede manejar. . Tenga en cuenta que STG reemplaza gráficamente una transición etiquetada con su etiqueta, y se omiten las posiciones con una entrada y una salida. Los marcadores en estas posiciones bajas simplemente se colocan en el arco correspondiente. En STG, las etiquetas de transición contienen no solo el nombre de la señal, sino también el tipo particular de transición, ya sea ascendente ("+") o descendente ("-").

Por lo tanto, cuando se dispara la transición etiquetada con , la señal cambia de 0 a 1; cuando se dispara la transición marcada con , la señal cambia de 1 a 0. Las transiciones en las señales de entrada también se distinguen por un guión bajo. Para crear circuitos por STG, a menudo se requieren una o más restricciones: vida, confiabilidad, persistencia, asignación de estado consistente, asignación de estado único, transiciones de ciclo único.

Un STG está vivo si, de todas las marcas disponibles, todas las transiciones pueden eventualmente dispararse.

STG es confiable si ninguna posición o arco puede contener más de un marcador.

STG es constante si para todos los arcos a* → b* (donde t* significa transición t+ o t-) hay otros arcos que garantizan que b* comienza antes de la transición opuesta a*.

El STG tiene una asignación de estado constante si las transiciones de la señal alternan estrictamente entre + y - (es decir, no puede volver al mismo estado).

Un STG tiene una asignación de estado única si no hay dos marcas STG diferentes que tengan significados idénticos para todas las señales.

Un STG tiene transiciones de un solo ciclo si cada nombre de señal en el STG aparece exactamente en una transición ascendente y descendente.

Cambiar diagramas

Los diagramas de cambio (CD ) [135] [136] [137] como los STG  tienen nodos etiquetados en transiciones y arcos entre transiciones que definen secuencias de activación de transición permitidas. Los CD tienen tres tipos de arcos: precedencia fuerte, precedencia débil y precedencia fuerte no conectada, así como marcas iniciales, aunque los marcadores se colocan en transiciones de CD en lugar de posiciones. Los arcos de precedencia fuerte son similares a los arcos en STG y se pueden considerar arcos Y, ya que una transición no puede comenzar hasta que todos los arcos que apuntan a ella estén marcados con un marcador. Los arcos de precedencia débil son arcos OR, en los que una transición puede activarse siempre que se marque cualquier transición con un arco de precedencia débil. Tenga en cuenta que una transición no puede tener arcos fuertes y débiles al mismo tiempo. Cuando los arcos de precedencia fuertes o débiles provocan que se dispare una transición, en todos los arcos que apuntan a esa transición, el marcador se elimina y se coloca en todos los arcos que permiten que se dispare la transición. Debido a que una transición con arcos de precedencia débil que conducen a ella puede dispararse antes que todos los arcos que tienen marcadores, los arcos sin marcadores tienen bucles abiertos agregados para indicar la "deuda" de un marcador. Cuando el marcador llega a un arco con una deuda, el marcador y la deuda se anulan entre sí. Por lo tanto, si un marcador llega a cada arco de entrada de precedencia débil a un nodo (si ninguno de esos arcos está marcado inicialmente con marcadores o bucles abiertos), se disparará solo una vez y puede hacerlo tan pronto como llegue el primer marcador. Finalmente, los arcos de precedencia fuerte que se liberan son idénticos a los arcos de precedencia fuerte, excepto que después de la transición que conduce al lanzamiento, el arco ya no retiene el sistema (se considera que se elimina del CD). Por lo tanto, estos arcos se pueden usar para conectar un conjunto inicial de transiciones que no se repiten a un ciclo que se repite infinitamente.

Redes lógicas condicionales

Fueron propuestos por primera vez en [59] bajo el nombre de English.  Causal Logic Nets, CLN para combinar los beneficios de las redes de Petri y los diagramas de cambio en la representación de diferentes formas de causalidad [60] .

Enfoque NCL

La abreviatura NCL significa Null Convention Logic e indica el uso del delimitador 00 . El enfoque NCL se propuso en [138] para bloques operativos que consisten predominantemente en lógica combinacional autotemporizada.

Los elementos NCL son un caso especial del elemento C generalizado, que viene dado por la descomposición de Shannon como , donde y son las funciones de establecimiento y reinicio. Si estas funciones son ortogonales, es decir, , entonces es isótono (unato positivo) por . Por lo tanto, es posible excluir, por lo que . NCL utiliza funciones de ajuste y restablecimiento de umbral que tienen un máximo de 4 variables. La NCL también utiliza 3 funciones sin umbral que pueden implementarse mediante varios elementos de la NCL. El enfoque complementario NCL+ utiliza el delimitador 11 . Hay una función de reinicio para NCL , pero varias funciones de configuración [139] [140] . Para NCL+, por el contrario, hay una función de configuración y varias funciones de reinicio [141] . El resultado de esto es una cierta simetría entre las implementaciones CMOS de los elementos NCL y NCL+ [142] , [143] .

Tenga en cuenta que mucho antes en [1] se propuso un enfoque que utiliza, como NCL, chanclas en T de tipo especial . Tiene dos diferencias, la primera son circuitos en parafase y la segunda es una base funcionalmente completa. Una similitud entre los dos enfoques es la suposición de que los circuitos del elemento base son insensibles a los retrasos en los cables internos (suposición DI). Esto permite abordar la implementación de circuitos que no son sensibles a los retrasos en los cables de conexión entre los elementos. Sin embargo, las implementaciones de CMOS NCL son muy voluminosas, por ejemplo, el elemento TH24 consta de 28 transistores [143] . Esto puede violar la suposición DI, sin mencionar el AND-OR-NOT de 8 entradas en el módulo genérico del enfoque anterior [144] . Por lo tanto, el precio a pagar por la insensibilidad a los retrasos de los cables es la redundancia extrema, la baja velocidad y la confiabilidad insuficiente de los circuitos en las implementaciones de CMOS. También observamos que dado que las funciones de umbral son un subconjunto de las monótonas, ambos enfoques mencionados pueden considerarse como el desarrollo de esquemas secuenciales en elementos de umbral [145] [146] [147] [148] .

La construcción de bloques operativos en NCL se llama Cómputo de flujo . Estos bloques son osciladores conectados que realizan cálculos paralelos. Se utiliza un principio similar en los distribuidores bidimensionales [149] [150] [151] .

Supuestos de tiempo de retraso

A veces, el comportamiento dado no se puede implementar en el modelo de Muller (los retrasos de los elementos son ilimitados). Normalmente, este problema está relacionado con la base de implementación dada. La única solución en este caso es utilizar suposiciones de tiempo. Aquí hay algunos signos de tales comportamientos problemáticos:

  1. La señal de entrada se conmuta dos veces seguidas, lo que da como resultado la conmutación de salida . En otras palabras, hay un fragmento en el comportamiento . Tal comportamiento no es realizable en ninguna base. Debe suponerse que la duración del pulso es suficiente para (al menos) dos conmutaciones de las señales internas.
  2. El requisito de implementar el circuito en una base homogénea monótona, por ejemplo, solo en elementos NAND. La interfaz especificada no se puede cambiar. Esto significa que está prohibido agregar nuevos eventos internos antes de los de entrada. En la base NAND, cada sincronización ocurre solo por eventos. La implicación de esto es que, en el comportamiento independiente, cada rama sucesiva debe comenzar y terminar con . La prohibición de agregar nuevos eventos antes de los de entrada (para comportamientos no autónomos) puede conducir a un desequilibrio de y . Si es mayor que , entonces el esquema no es realizable en la base NAND. Un ejemplo sería la implementación de un elemento C.
  3. Uso de elementos de reconocimiento completo (CA) [152] . Deje eventos de entrada e inicie ramas alternativas 1 y 2, respectivamente. Si hay un evento en la rama 2 , entonces el esquema no se puede realizar en los elementos CA [153] .

Datos básicos y resultados

  • Los circuitos asíncronos pueden verse como una generalización del oscilador en anillo. Es decir, si las salidas del circuito se conectan a través del modelo del entorno externo con las entradas, el circuito comenzará a oscilar.
  • El separador (espaciador) está presente solo en los códigos autotemporizados (SS) de dos fases. Un código CC monofásico es un código con transiciones directas. No hay otros códigos CC monofásicos.
  • Implementación de funciones lógicas. Hasta ahora, el mejor enfoque genérico es la implementación cruzada [117] [154] . Toda función lógica de dos o más variables tiene carreras funcionales, que, en principio, no se pueden combatir. Sin embargo, en conjuntos comparables, la función unate está libre de razas funcionales. Por lo tanto, duplicamos el número de variables de entrada y reemplazamos el inverso de la variable con la variable independiente. Para que los conjuntos de entrada sean comparables, se necesita una disciplina de dos fases, en la que cada conjunto de trabajo se intercala con un espaciador (un separador que consta de todos ceros o todos unos). Dado que el espaciador es comparable con cualquier conjunto de trabajo, obtenemos que en una secuencia de entradas de dos fases, todos los conjuntos vecinos son comparables, lo cual es necesario para la ausencia de carreras funcionales. Las carreras lógicas permanecen (atributo de implementación). En este caso, la implementación cruzada ayuda. Se agrega un segundo canal de implementación que implementa la función inversa (el primer canal implementa la función en sí). Además, la implementación de este canal debe ser una implementación dual del canal principal. Con esta implementación, se reemplazan todos los inversores puros en cada canal por conexiones cruzadas, ya que cada salida de un elemento de un cierto nivel corresponde a la salida de un elemento en el mismo nivel del canal inverso. Estas dos salidas forman un par de códigos de parafase, lo que simplifica enormemente la construcción de un indicador para la lógica. En el caso de utilizar una disciplina bifásica con espaciador, una implementación bifásica en tecnología CMOS no conduce a un aumento en el número de transistores en comparación con la lógica monofásica sincronizada. Esto se debe al hecho de que los circuitos CMOS en el caso de una implementación monofásica contienen canales directos e inversos. Un análisis de la redundancia de los códigos de sincronización automática sugiere que para un circuito combinacional síncrono con entradas y salidas, debe existir un circuito asíncrono con entradas y salidas. Esta estimación corresponde a una implementación hipotética con un hardware adicional mínimo, es decir, en la práctica, el límite inferior no es alcanzable.
  • Implementación de indicadores. Los canales para indicar los momentos de finalización de los procesos transitorios se construyen a base de T-flip-flops. Dado que el flip-flop G contiene un componente AND, el número de sus entradas es limitado. Por lo tanto, es necesario utilizar pirámides de G-flip-flops o sistemas de compresión en paralelo, lo que genera costos de equipo y un aumento del retardo en el circuito de visualización, lo que puede reducir drásticamente el rendimiento debido al trabajo en retardos reales. El uso de la propiedad de conductividad bidireccional del transistor MOS le permite construir un circuito indicador de dos etapas con un número prácticamente ilimitado de entradas y un consumo de equipo de 4 transistores por entrada indicada [155] [156] [154] .
  • Algunos dispositivos autosincrónicos se pueden implementar con un aumento insignificante de hardware en comparación con una implementación síncrona. Por ejemplo contadores (1974) y memoria (1986) [157] [158] [159] [160] .
  • Los circuitos independientes del retardo (DI [161] , envoltura de gomaespuma [162] ), que consisten en elementos con una sola salida, pueden contener solo inversores y elementos C, lo que no permite construir circuitos prácticos con suficiente flexibilidad [112] [163] . Es imposible construir G-trigger, RS-trigger, T-trigger completamente independientes del retardo [117] .
  • Cualquier esquema de distribución se puede implementar correctamente en elementos AND-NOT (OR-NOT) de dos entradas con una capacidad de carga de no más de dos. Cualquier circuito semimodular se puede implementar correctamente solo cuando estos elementos se usan juntos o cuando se usan elementos AND-OR-NOT de tres entradas. La cuestión de la implementación correcta de circuitos semimodulares solo en elementos NAND (OR-NOT) permanece abierta [2] [164] [165] . En la práctica, sin embargo, la base mínima no tiene mucho sentido debido a la alta complejidad de los circuitos resultantes. Con un aumento en los valores de los coeficientes de ramificación y con un aumento en la funcionalidad, los circuitos se vuelven más compactos. En la tecnología CMOS moderna, es recomendable utilizar elementos cuya complejidad no exceda 4I-4OR-NOT. No existe un circuito semimodular de elementos NAND que no sea sensible a retardos en al menos dos ramas de un cable conectado a la salida de un elemento para el cual los estados de este circuito están vivos [166] . Si el cable se ramifica, entonces esta es una función OR, por lo que en algún lugar debe indicar las señales en los cables de ramificación (condicionamiento OR). Todo lo anterior es cierto solo para una implementación de parafase, cuyo caso especial es la implementación de un elemento C solo en elementos NAND. La cuestión de la implementación de circuitos distributivos monofásicos solo en elementos NAND permanece abierta. Sin embargo, en el caso de un elemento C monofásico, se necesitan ambos tipos de elementos. De hecho, para implementar una fuerte causalidad en los frentes ascendentes, se necesita un elemento Y-NO, y en los descendentes, O-NO.
  • En el mismo cable, se puede transmitir una solicitud por voltaje y un reconocimiento por corriente. En este caso, para indicar los momentos de finalización de los procesos transitorios, es necesario utilizar sensores de la corriente consumida de los elementos CMOS. Sin embargo, tales sensores son difíciles de implementar y su rendimiento es insuficiente. Por lo tanto, la idea de una pantalla combinada no conduce a una simplificación del equipo en la práctica. Un ejemplo de un uso exitoso de esta idea es el método de transmisión de datos autosincrónica, donde cada bit se transmite por un cable [167] . Este método requiere solo cables para transmitir un código de bit binario en paralelo , y su rendimiento no es peor que cuando se transmiten datos a través de dos cables.
  • Los indicadores de terminación transitoria se pueden construir sobre la base de circuitos de umbral con múltiples salidas [168] .

Bibliografía

  1. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 A. G. Astanovsky, V. I. Varshavsky, V. B. Marakhovsky, etc. Autómatas aperiódicos. M. Nauka, 1976, 423 p.  (enlace no disponible)
  2. 1 2 3 4 5 6 7 8 9 10 11 V. I. Varshavsky, M. A. Kishinevskiy, V. B. Marakhovsky, etc. Control automatizado de procesos asíncronos en computadoras y sistemas discretos. M.: Nauka, 1986.  (enlace inaccesible) ( VI Varshavsky (ed.). Self-Timed Control of Concurrent Processes.  (enlace inaccesible) )
  3. 1 2 3 V. I. Varshavsky, V. B. Marakhovsky, L. Ya. Rosenblum, A. V. Yakovlev, "Procesos paralelos asíncronos y circuitos autosincrónicos", Tecnología electrónica. Ser. Ex. calidad, normalización, metrología, ensayos, vol. 5. No. 4, págs. 3-33, 1988.
  4. 1 2 3 4 A. V. Yakovlev, A. M. Koelmans, "Petrinets y diseño de hardware digital", Conferencias sobre redes de Petri II: Aplicaciones, vol. 1492, págs. 154-236, 1998.
  5. CH van Berkel, MB Josephs, SM Nowick, "Aplicaciones de circuitos asíncronos", Actas del IEEE, vol. 87, núm. 2, págs. 223-233, 1999. . Consultado el 16 de septiembre de 2015. Archivado desde el original el 5 de noviembre de 2015.
  6. PSK Siegel, Asignación de tecnología automática para diseños asincrónicos. Tesis doctoral, Universidad de Stanford, 1995, 159 p. . Consultado el 14 de julio de 2015. Archivado desde el original el 14 de julio de 2015.
  7. P. Franklin, D. Winkel y E. Brunvand, "Una comparación de estilos de diseño modulares autoprogramados", Informe UUCS-95-025, Universidad de Utah, 1995. . Consultado el 5 de marzo de 2016. Archivado desde el original el 1 de agosto de 2017.
  8. CG Wong, AJ Martin y P. Thomas, "Una arquitectura para FPGA asíncronos", IEEE Int. Conferencia sobre tecnología programable en campo (FPT) 2003, págs. 170-177.
  9. D. Shang, F. Xia, A. Yakovlev, "Arquitectura FPGA asíncrona con control distribuido", IEEE Int. Simposio sobre circuitos y sistemas (ISCAS) 2010, págs. 1436-1439. . Consultado el 23 de julio de 2015. Archivado desde el original el 24 de julio de 2015.
  10. Y. Komatsu, M. Hariyama y M. Kameyama, "Arquitectura de un FPGA asíncrono para diseño basado en componentes de protocolo de enlace", IEICE Transactions on Information and Systems, vol. E96-D, no. 8, 2013, págs. 1632-1644. . Consultado el 26 de julio de 2017. Archivado desde el original el 31 de julio de 2017.
  11. Electrónica Renesas. Descripción general del producto ASIC, 2011. . Fecha de acceso: 15 de noviembre de 2019. Archivado desde el original el 15 de noviembre de 2019.
  12. M. Courvoisier, "Una matriz lógica asíncrona para la realización de sistemas lógicos con concurrencia", Electronics Letters, vol. 14, núm. 4, págs. 119-121, 1978.
  13. RW Hartenstein, A. Hirschbiel y M. Weber, "Patil array - A Petri net hardware deployment", CompEuro 1988, pp. 26-33.
  14. W. Eisele, G. Eckstein, J. Beister, "Síntesis del controlador VMEbus mediante la comunicación de circuitos secuenciales asíncronos", Universidad de Kaiserslautern, 1994. . Fecha de acceso: 27 de febrero de 2016. Archivado desde el original el 6 de marzo de 2016.
  15. L. Lloyd, K. Heron, AM Koelmans, AV Yakovlev, "Microprocesadores asíncronos: del modelo de alto nivel a la implementación de FPGA", Journal of Systems Architecture, vol. 45, núm. 12-13, págs. 975-1000, 1999. . Consultado el 27 de febrero de 2016. Archivado desde el original el 12 de julio de 2012.
  16. 1 2 S. W. Moore y P. Robinson, "Creación rápida de prototipos de circuitos autocronometrados", IEEE Int. Conferencia sobre Diseño de Computadoras (ICCD) 1998, pp. 360-365. . Consultado el 1 de marzo de 2016. Archivado desde el original el 8 de agosto de 2017.
  17. 1 2 K. Meekins, D. Ferguson y M. Basta, "Lógica reconfigurable NCL insensible a los retrasos", IEEE Aerospace Conference 2002, vol. 4, págs. 1961-1966
  18. JV Manoranjan y KS Stevens, "Implementación de controlador asíncrono en modo ráfaga en FPG usando temporización relativa", IEEE Southern Conference on Programmable Logic (SPL) 2014, págs. 1-6. . Consultado el 31 de julio de 2017. Archivado desde el original el 31 de julio de 2017.
  19. R. Payne, "Arquitecturas FPGA asíncronas", Actas de IEE, Computadoras y técnicas digitales, vol. 143, núm. 5, págs. 282-286, 1996. . Consultado el 3 de marzo de 2016. Archivado desde el original el 10 de marzo de 2016.
  20. PYK Cheung. ¿Son útiles las ideas asincrónicas en los FPGA? . Este mundo asíncrono 87-95 (2016). Consultado el 19 de febrero de 2017. Archivado desde el original el 20 de febrero de 2017.
  21. Z. Hajduk, "Método simple de implementación de circuitos asíncronos en FPGA comerciales", Integration the VLSI Journal, vol. 59, 2017, págs. 31-41.
  22. VB Marakhovsky, AV Surkov, "Sistemas GALA de autómatas interactivos", Informe técnico, 2016 . Consultado el 13 de junio de 2016. Archivado desde el original el 17 de junio de 2016.
  23. MB Gokhale, PS Graham, Matrices de puertas programables en campo, § 2.1 en Computación reconfigurable: aceleración de la computación con matrices de puertas programables en campo. Springer, 2005, 238 págs. . Consultado el 17 de abril de 2019. Archivado desde el original el 17 de abril de 2019.
  24. H. Kaeslin, Lógica programable en campo, Cap. 2 en Diseño de VLSI digital descendente: de arquitecturas a circuitos de nivel de puerta y FPGA, págs. 41-61, Elsevier, 2014. . Consultado el 17 de abril de 2019. Archivado desde el original el 17 de abril de 2019.
  25. JC Kalb, "JK flip-flop maestro-esclavo", patente US3591856, julio de 2010. 6, 1971. . Consultado el 29 de julio de 2019. Archivado desde el original el 29 de julio de 2019.
  26. D. Sokolov, I. Poliakov y A. Yakovlev, "Modelos de ruta de datos asincrónicos", IEEE Int. Conferencia sobre Aplicación de Concurrencia al Diseño de Sistemas (ACSD) 2007, pp. 197-210. . Consultado el 4 de agosto de 2019. Archivado desde el original el 17 de junio de 2018.
  27. Y. Zhou, C. Shi, Z. Deng y A. Yakovlev, "Síntesis y optimización de circuitos codificados asíncronos de doble riel basados ​​en reconocimiento parcial", IEEE Int. Conferencia sobre ASIC 2017, pp. 496-503. . Consultado el 6 de agosto de 2019. Archivado desde el original el 6 de agosto de 2019.
  28. tutorial:síntesis:inicialización:inicio - Workcraft . Consultado el 8 de abril de 2019. Archivado desde el original el 8 de abril de 2019.
  29. Los circuitos de transistores G-flip-flop de dos y tres entradas se conocen desde al menos 1969 JJ Gibson, "Logic circuits empleando transistores de efecto de campo", patente US3439185, abril de 2010. 15 de enero de 1969. Archivado el 30 de marzo de 2019 en Wayback Machine .
  30. 1 2 C. H. van Berkel, "Cuidado con la bifurcación isocrónica", Informe UR 003/91, Philips Research Labs, 1991.
  31. 1 2 3 R. Miller, Teoría de los circuitos de conmutación independientes de la velocidad, Cap. 10 en libro. Teoría de los circuitos de conmutación. Tomo 2: Circuitos y Máquinas Secuenciales. Nauka, 1971, págs. 242-298. Archivado el 4 de marzo de 2016 en Wayback Machine (RE Miller, "Theory of speed-independent circuits", Capítulo 10 en Switching Theory. Vol. 2: Sequential circuits and machines. Wiley, 1965).
  32. SJ Silver, JA Brzozowski, "Concurrencia verdadera en modelos de comportamiento de circuitos asíncronos", Métodos formales en el diseño de sistemas, vol. 22, núm. 3, págs. 183-203, 2003. . Consultado el 5 de febrero de 2016. Archivado desde el original el 21 de enero de 2022.
  33. M. Kishinevsky, A. Kondratyev, A. Taubin, V. Varshavsky, "Análisis e identificación de circuitos independientes de la velocidad en un modelo de eventos", Métodos formales en el diseño de sistemas, vol. 4, núm. 1, págs. 33-75, 1994. Archivado el 11 de junio de 2018 en Wayback Machine ( "Análisis e identificación de circuitos independientes de la velocidad en un modelo de evento" Archivado el 22 de julio de 2015 en Wayback Machine )
  34. SH Unger, "Circuitos autosincronizantes y funcionamiento en modo no fundamental", IEEE Transactions on Computers, vol. C-26, núm. 3, págs. 278-281, 1977.
  35. AV Yakovlev, AM Koelmans, L. Lavagno, "Modelado y diseño de alto nivel de lógica de interfaz asíncrona", preimpresión, 1995. . Consultado el 23 de julio de 2015. Archivado desde el original el 7 de agosto de 2015.
  36. JA Brzozowski, "Temas de teoría de circuitos asíncronos", Avances recientes en lenguajes y aplicaciones formales, vol. 25, págs. 11-42, 2006. . Consultado el 17 de julio de 2015. Archivado desde el original el 22 de julio de 2015.
  37. M. Shams, JC Ebergen, MI Elmasry, "Asynchronous Circuits", en Wiley Encyclopedia of Electrical and Electronics Engineering, págs. 1-23, 1999. . Fecha de acceso: 30 de enero de 2016. Archivado desde el original el 12 de abril de 2012.
  38. IE Sutherland, "Micropipelines", Communications of the ACM, vol. 32, núm. 6, págs. 720-738, 1989. . Consultado el 27 de julio de 2015. Archivado desde el original el 10 de septiembre de 2016.
  39. G. Cornetta, J. Cortadella, "Técnicas de diseño de rutas de datos canalizadas asíncronas. Una encuesta", págs. 1-31, 1997. . Consultado el 13 de septiembre de 2015. Archivado desde el original el 28 de septiembre de 2015.
  40. M. Singh, SM Nowick, "MOUSETRAP: canalizaciones asincrónicas de señalización de transición de ultra alta velocidad", Conferencia internacional sobre diseño de computadoras (ICCD) 2001, págs. 9-17. . Consultado el 27 de julio de 2015. Archivado desde el original el 27 de septiembre de 2015.
  41. I. Sutherland y S. Fairbanks, "GasP: A minimal FIFO control", Simposio internacional sobre circuitos y sistemas asíncronos (ASYNC) 2001, págs. 46-53. . Consultado el 29 de julio de 2015. Archivado desde el original el 27 de septiembre de 2015.
  42. V. Varshavsky, "Tiempo del sistema y sincronización del sistema", Int. Conf. sobre Semigrupos e Ingeniería Algebraica 1997, pp. 1-25. . Fecha de acceso: 28 de enero de 2016. Archivado desde el original el 4 de febrero de 2016.
  43. V. Varshavsky, "Tiempo, tiempo y reloj en sistemas informáticos paralelos masivos", Int. Conf. en Massively Parallel Computing Systems 1998, pp. 100-106. (enlace no disponible) . Fecha de acceso: 28 de enero de 2016. Archivado desde el original el 3 de febrero de 2016. 
  44. 1 2 3 V. B. Marakhovsky, L. Ya. Rosenblum, A. V. Yakovlev. Simulación de procesos paralelos. redes de petri. San Petersburgo, Literatura Profesional, 2014, 400s.
  45. RF Tinder, Diseño digital de ingeniería, 2.ª edición, Academic Press, 2000, 884 p. . Fecha de acceso: 16 de noviembre de 2015. Archivado desde el original el 17 de noviembre de 2015.
  46. RF Tinder, Diseño y análisis de máquinas secuenciales asíncronas: un desarrollo integral del diseño y análisis de máquinas y sistemas de estado independientes del reloj, Morgan & Claypool, 2009, 235 p. . Fecha de acceso: 16 de noviembre de 2015. Archivado desde el original el 17 de noviembre de 2015.
  47. HW Lawson, B. Malm, "Un microprocesador asincrónico flexible", BIT Numerical Mathematics, vol. 13, núm. 2, págs. 165-176, 1973. . Consultado el 3 de octubre de 2017. Archivado desde el original el 31 de enero de 2018.
  48. A. A. Vasenkov et al., "Sistema informático de microprocesador", Certificado de autor SU674025, 15/07/1979.
  49. 1 2 B. J. Nordmann, B. H. McCormick, "Diseño de control asíncrono modular", IEEE Transactions on Computers, vol. C-26, núm. 3, págs. 196-207, 1977. . Consultado el 29 de septiembre de 2015. Archivado desde el original el 30 de septiembre de 2015.
  50. H. Lawson, Un enfoque asíncrono de la microprogramación. Capítulo 3 en Métodos de ingeniería de firmware y microprogramación. (ed. S. Habib), Wiley, 1988.
  51. R. Tinder, R.I. Klaus, "Controladores asincrónicos microprogramables para sistemas electrónicos digitales", Patente US5063536, noviembre de 2015. 5, 1991.
  52. RF Tinder, RI Klaus, JA Snodderley, "Módulos de controlador asincrónico microprogramable de alta velocidad", IEEE Transactions on Computers, vol. 43, núm. 10, págs. 1226-1232, 1994.
  53. Capítulo 4.5.3 en la biografía de D. I. Yuditsky . Consultado el 27 de julio de 2015. Archivado desde el original el 20 de julio de 2015.
  54. Episodio 587 Archivado el 17 de julio de 2015.
  55. S. T. Khvoshch, N. N. Varlinsky y E. A. Popov, Microprocesadores y microcomputadoras en sistemas de control automático. Directorio. L. Mashinostroenie, 1987, 638 p.
  56. Serie 1883/U830 Archivado el 22 de julio de 2015.
  57. WM Loucks, M. Snelgrove y SG Zaky, "Un procesador vectorial basado en microprocesadores de un bit", IEEE Micro, vol. 2, núm. 1, págs. 53-62, 1982. . Consultado el 23 de julio de 2017. Archivado desde el original el 31 de enero de 2018.
  58. A. Yakovlev, Diseño asíncrono: ¿Quo Vadis? DDECS, Viena 2010 . Consultado el 20 de julio de 2015. Archivado desde el original el 9 de agosto de 2017.
  59. 1 2 A. Yakovlev, M. Kishinevsky, A. Kondratyev y L. Lavagno, "O causalidad: modelado e implementación de hardware", Int. Conferencia sobre Aplicación y Teoría de las Redes de Petri, 1994, pp. 568-587. . Consultado el 20 de abril de 2019. Archivado desde el original el 17 de junio de 2018.
  60. 1 2 3 A. Yakovlev, M. Kishinevsky, A. Kondratyev, L. Lavagno, M. Pietkiewicz-Koutny, "Sobre los modelos de comportamiento de circuitos asíncronos con causalidad OR", Métodos formales en el diseño de sistemas, vol. 9, núm. 3, págs. 189-233, 1996. Archivado el 5 de marzo de 2016 a través de Wayback Machine ( "On Models for Asynchronous Circuit Mode with Causal OR"). Archivado el 24 de julio de 2015 a través de Wayback Machine .
  61. DA Pucknell, "Enfoque de lógica impulsada por eventos (EDL) para la representación de sistemas digitales y procesos de diseño relacionados", IEE Proceedings E, Computers and Digital Techniques, vol. 140, núm. 2, págs. 119-126, 1993.
  62. V. I. Varshavsky, V. B. Marakhovsky, V. A. Peschansky et al., "Contador secuencial", Certificado de autor SU618853, 05/08/1978.
  63. V. I. Varshavsky, V. B. Marakhovsky, V. A. Peschansky et al., "Contador secuencial", Certificado de autor SU706934, 30/12/1979.
  64. B. S. Tsirlin, "Contador secuencial", Certificado de copyright SU1160558, 07/06/1985.
  65. B. S. Tsirlin, "Contador", Certificado de autor SU1205303, 15/01/1986.
  66. K. van Berkel y A. Bink, "Señalización de protocolo de enlace de una sola pista con aplicación a microcanalizaciones y circuitos de protocolo de enlace", IEEE Int. Simposio de Investigación Avanzada en Circuitos y Sistemas Asíncronos, 1996, pp. 122-133.
  67. AS Wojcik, KY Fang, "Sobre el diseño de módulos asíncronos de tres valores", IEEE Transactions on Computers, vol. C-29, núm. 10, págs. 889-898, 1980.
  68. J. Tse, B. Hill, R. Manohar, "A bit of analysis on self-timed single-bit on-chip links", IEEE Int. Simposio sobre circuitos y sistemas asíncronos (ASYNC) 2013, págs. 124-133. . Consultado el 2 de octubre de 2015. Archivado desde el original el 3 de octubre de 2015.
  69. JC Sims y HJ Gray, "Criterios de diseño para circuitos autosíncronos", IEE Eastern Joint Computer Conference (AFIPS) 1958, vol. 14, págs. 94-99. . Consultado el 3 de octubre de 2015. Archivado desde el original el 4 de octubre de 2015.
  70. 1 2 3 D. E. Muller, "Lógica asíncrona y aplicación al procesamiento de la información", Simposio sobre la aplicación de la teoría de conmutación en la tecnología espacial, págs. 289-297, 1963. . Consultado el 16 de septiembre de 2015. Archivado desde el original el 29 de septiembre de 2015.
  71. 1 2 G. Cemanek, "Lógica asíncrona secuencial", Simposio internacional de IFAC Teoría de autómatas finitos y probabilísticos 1962, págs. 232-245. Archivado el 5 de octubre de 2015 en Wayback Machine también ( H. Zemanek, "Sequentielle asynchrone Logik", Elektronische Rechenanlagen, vol. 4, no. 6, págs. 248-253, 1962 ).
  72. J. Sparsø, J. Staunstrup, M. Dantzer-Sørenson, "Diseño de circuitos insensibles al retardo mediante estructuras de anillos múltiples", Conferencia Europea de Automatización del Diseño, 1992, págs. 15-20. (enlace no disponible) . Fecha de acceso: 17 de septiembre de 2015. Archivado desde el original el 29 de septiembre de 2015. 
  73. A. Kondratyev, K. Lwin, "Diseño de circuitos asíncronos mediante herramientas CAD síncronas", IEEE Design & Test of Computers, vol. 19, núm. 4, págs. 107-117, 2002. Archivado desde el original el 29 de septiembre de 2015.
  74. A. Smirnov, A. Taubin, "Synthesizing asynchronous micropipelines with design compiler", Synopsys Users Group Conference, págs. 1-33, 2006. (enlace no disponible) . Consultado el 21 de septiembre de 2015. Archivado desde el original el 29 de septiembre de 2015. 
  75. A. Bystrov, D. Sokolov, A. Yakovlev, "Estructuras de control de baja latencia con holgura", IEEE Int. Simposio sobre circuitos y sistemas asíncronos (ASYNC) 2003, págs. 164-173.
  76. D. Sokolov, I. Poliakov, A. Yakovlev, "Análisis de estructuras de flujo de datos estáticos", Fundamenta Informaticae, vol. 88, núm. 4, págs. 581-610, 2008 . Consultado el 7 de agosto de 2016. Archivado desde el original el 24 de agosto de 2017.
  77. AM Lines, "Circuitos asíncronos canalizados", Informe CS-TR-95-21, Instituto de Tecnología de California, 1998. . Consultado el 15 de marzo de 2018. Archivado desde el original el 14 de octubre de 2017.
  78. V. I. Varshavsky, A. Yu. Kondratiev y V. A. Romanovsky y B. S. Tsirlin, "Combination sumador", Certificado de autor SU1596321, 30/09/1990.
  79. V. A. Druzhinin y S. A. Yuditsky, "Diseño de redes de Petri bien formadas a partir de bloques estándar", Automatización y telemecánica, 1992, No. 12, 115-121. (VA Druzhinin y SA Yuditskii, "Construcción de redes de Petri bien formadas a partir de subredes estándar", Automatización y control remoto, vol. 53, no. 12, 1992, pp. 1922-1927)
  80. MT Moreira, JJH Pontes, NLV Calazans, "Tradeoffs between RTO and RTZ in WCHB QDI asynchronous design", IEEE Int. Simposio sobre Diseño Electrónico de Calidad (ISQED) 2014, pp. 692-699. . Consultado el 22 de septiembre de 2015. Archivado desde el original el 3 de octubre de 2015.
  81. M. Courvoisier y P. Azema, "Máquinas secuenciales asíncronas con modo operativo de solicitud/reconocimiento", Electronics Letters, vol. 10, núm. 1, págs. 8-10, 1974.
  82. V. Varshavsky y V. Marakhovsky, "Soporte de hardware de coordinación de eventos discretos", IEE Int. Taller sobre Sistemas de Eventos Discretos (WoDES) 1996, p. 332-339. . Consultado el 21 de septiembre de 2015. Archivado desde el original el 29 de septiembre de 2015.
  83. A. Yakovlev, F. Burns, A. Bystrov, D. Shang, D. Sokolov, "¿Es el dado para el juego de fichas?" En t. Conferencia sobre Aplicación y Teoría de Redes de Petri (ICATPN) 2002 Archivado desde el original el 2 de marzo de 2016.
  84. D. Shang, Circuitos de comunicación asíncrona: diseño, prueba y síntesis, tesis doctoral, Universidad de Newcastle, 2003, 248 p. . Consultado el 6 de octubre de 2015. Archivado desde el original el 7 de octubre de 2015.
  85. V. I. Varshavsky, V. B. Marakhovsky, B. S. Tsirlin y I. V. Yatsenko, "Distribuidor asíncrono de anillo", Certificado de autor SU1322452, 07/07/1987.
  86. S. G. Arutyunyan y V. Sh. Arutyunyan, "Distribuidor asíncrono de anillo", Certificado de autor SU1629978, 23/02/1991.
  87. AJ Martin, Programación en VLSI: De los procesos de comunicación a los circuitos insensibles a los retrasos. Informe CS-TR-89-1, Instituto de Tecnología de California, 1989, 66 p. . Consultado el 15 de septiembre de 2015. Archivado desde el original el 27 de septiembre de 2015.
  88. MB Josephs, AM Bailey, "El uso del álgebra SI en el diseño de circuitos secuenciadores", Aspectos formales de la computación, vol. 9, núm. 4, págs. 395-408, 1997. . Consultado el 3 de octubre de 2017. Archivado desde el original el 5 de junio de 2018.
  89. JW Foltz, "Flip-flop binario que emplea transistores de efecto de campo de puerta aislada y adecuado para la operación de divisor de frecuencia en cascada", Patente US3679913, julio de 2011. 25, 1972. . Consultado el 1 de agosto de 2019. Archivado desde el original el 1 de agosto de 2019.
  90. S. Clapper, "Flip-flop binario reiniciable del tipo de semiconductor", patente US3753009, agosto de 2010. 14, 1973. . Consultado el 10 de agosto de 2019. Archivado desde el original el 10 de agosto de 2019.
  91. Yu. G. Bondarenko, "Disparador con entrada de conteo", Certificado de copyright SU425356, 25/04/1974. . Consultado el 1 de julio de 2019. Archivado desde el original el 1 de julio de 2019.
  92. EA Vittoz, "Estructura lógica de división de frecuencia", patente US3829714, agosto de 2010. 13, 1974. . Consultado el 1 de agosto de 2019. Archivado desde el original el 1 de agosto de 2019.
  93. G. S. Brailovsky, "Trigger", Certificado de copyright SU785961, 07/12/1980. . Consultado el 20 de marzo de 2019. Archivado desde el original el 20 de marzo de 2019.
  94. 1 2 V. I. Goryachev, V. M. Klimashin, M. A. Komarov et al., "Counting trigger", Certificado de copyright SU362351, 13/12/1972. . Consultado el 26 de junio de 2019. Archivado desde el original el 26 de junio de 2019.
  95. V. I. Goryachev, B. M. Mansurov et al., "Single-cycle counting trigger", Certificado del autor SU371853, 05/03/1979. . Consultado el 26 de junio de 2019. Archivado desde el original el 26 de junio de 2019.
  96. N. G. Korobkov et al., "Descarga de un contador serial binario", Certificado de copyright SU1014151, 23/04/1983. . Consultado el 30 de junio de 2019. Archivado desde el original el 30 de junio de 2019.
  97. 1 2 3 V. Varshavsky y V. Marakhovsky, "Sincronización global de arreglos asíncronos", IEEE Int. Simposio sobre algoritmos paralelos/síntesis de arquitectura, 1997, págs. 207-215.
  98. R.C. Todd, "Logic system", Patente US3609569, sep. 28, 1971. . Consultado el 12 de abril de 2019. Archivado desde el original el 12 de abril de 2019.
  99. N. Starodoubtsev, A. Bystrov y A, Yakovlev, "Cadenas de pestillo semimodulares para el diseño de circuitos asíncronos", Int. Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS) 2000, pp. 168-177. . Consultado el 20 de julio de 2017. Archivado desde el original el 31 de enero de 2018.
  100. A. Madalinski, V. Khomenko y A. Yakovlev, "Resolución interactiva de conflictos de codificación en circuitos asíncronos basados ​​en desarrollos STG", Informe técnico n. CS-TR-944, Informática, Universidad de Newcastle upon Tyne, 2006.
  101. GT Osborne, "Etapa de registro de contador binario asíncrono con flip-flop y compuerta que utiliza una pluralidad de circuitos NOR interconectados", Patente US3139540, jun. 30, 1964 Consultado el 28 de julio de 2019. Archivado desde el original el 28 de julio de 2019.
  102. V. I. Goryachev, B. M. Mansurov, Ya. D. Martynenko y R. G. Talibov, "Distribuidor de impulsos de cuatro fases", Certificado de autor SU342299, 14/06/1972. . Consultado el 25 de marzo de 2019. Archivado desde el original el 3 de abril de 2019.
  103. V. I. Varshavsky, N. M. Kravchenko, V. B. Marakhovsky y B. S. Tsirlin, "Counting trigger on CMOS transistors", Certificado de autor SU1398069, 23/05/1988.
  104. B. Tsirlin y A. Kushnerov, "Reconocimiento de circuitos digitales. Disparador de conteo asíncrono", Preprint, 30/10/2019. . Consultado el 2 de noviembre de 2019. Archivado desde el original el 2 de noviembre de 2019.
  105. B. S. Tsirlin, V. A. Romanovsky, A. Yu. Kondratiev y N. A. Goldin, "Counting trigger", Certificado de autor SU1748230, 15/07/1992.
  106. Z. B. Sheidin, A. G. Gabsalyamov, I. V. Berg, "Disparador con entrada de conteo en transistores MIS complementarios", Certificado de autor SU1622925, 23/01/1991. . Consultado el 10 de julio de 2019. Archivado desde el original el 10 de julio de 2019.
  107. JC Nelson, Circuitos de conteo independientes de la velocidad. Reporte no. 71, Laboratorio de Computación Digital, Universidad de Illinois en Urbana-Champaign, 1956.
  108. V. I. Varshavsky, V. B. Marakhovsky, V. A. Peschansky et al., "Contador secuencial", Certificado de autor SU561298, 05/06/1977.
  109. VI Varshavsky, VB Marakhovsky y VV Smolensky, "Diseño de dispositivos con sincronización automática mediante el modelo de autómata finito", IEEE Design & Test of Computers, vol. 12, núm. 1, págs. 14-23, 1995 (enlace no disponible) . Consultado el 5 de junio de 2019. Archivado desde el original el 5 de junio de 2019. 
  110. AV Yakovlev, AM Koelmans, A. Semenov, DJ Kinniment, "Modelado, análisis y síntesis de circuitos de control asíncronos mediante Petrinets", Integration, VLSI Journal, vol. 21, núm. 3, págs. 143-170, 1996.
  111. O. Benafa, D. Sokolov y A. Yakovlev, "Contador Kessels cargable", IEEE Int. Simposio sobre circuitos y sistemas asíncronos (ASYNC) 2018, págs. 102-109. . Consultado el 7 de abril de 2019. Archivado desde el original el 7 de abril de 2019.
  112. 1 2 A. J. Martin, "Las limitaciones de la insensibilidad al retardo en circuitos asíncronos", Advanced Research en VLSI, 1990, págs. 263-278.
  113. K. van Berkel, F. Huberts, A. Peeters, "Estiramiento de la insensibilidad al casi retardo mediante bifurcaciones isocrónicas extendidas", Metodologías de diseño asíncrono, 1995, págs. 99-106.
  114. N. Sretasereekul, T. Nanya, "Eliminación de restricciones de bifurcación isócrona en circuitos insensibles al retardo cuasi", Conferencia de automatización de diseño de Asia y el Pacífico Sur (ASP-DAC) 2001, págs. 437-442.
  115. Y. Li, Corrección de problemas de temporización para circuitos independientes de la velocidad en una edad submicrónica profunda. Tesis doctoral, Universidad de Newcastle, 2012, 153 p. . Consultado el 3 de octubre de 2015. Archivado desde el original el 4 de octubre de 2015.
  116. VI Varshavsky, Circuitos insensibles a los retrasos en transistores y cables. informe tecnico nro. 7, Universidad Tecnológica de Helsinki, 1989, 42 p. . Consultado el 1 de octubre de 2015. Archivado desde el original el 2 de octubre de 2015.
  117. 1 2 3 M. Kishinevsky, A. Kondratyev, A. Taubin y V. Varshavsky, Revisión del estado del arte en sincronización automática, cap. 8 en Hardware concurrente: la teoría y la práctica del diseño cronometrado , Wiley, 1993, 388 p. . Consultado el 15 de noviembre de 2015. Archivado desde el original el 17 de noviembre de 2015.
  118. S. Hauck, "Metodologías de diseño asíncrono: una descripción general", Actas del IEEE, vol. 83, núm. 1, págs. 69-93, 1995. ( "Asynchronous Design Methodologies: A Brief Overview" Archivado el 22 de julio de 2015 en Wayback Machine )
  119. A. Davis y SM Nowick, "Introducción al diseño de circuitos asíncronos", Informe UUCS-97-013, Universidad de Utah, 1997. . Consultado el 7 de octubre de 2009. Archivado desde el original el 9 de junio de 2007.
  120. V. I. Varshavsky, V. B. Marakhovsky, L. Ya. Rosenblum, A. V. Yakovlev, § 4.3 Circuito aperiódico, en el libro. Inteligencia Artificial, Tomo 3: Software y Hardware. ed. V. N. Zakharov y V. F. Khoroshevsky. Moscú: Radio y comunicación, 1990.
  121. MB Josephs, SM Nowick, CH van Berkel, "Modelado y diseño de circuitos asíncronos", Actas del IEEE, vol. 87, núm. 2, págs. 234-242, 1999. (enlace inaccesible) . Consultado el 16 de septiembre de 2015. Archivado desde el original el 6 de octubre de 2016. 
  122. A. Yakovlev, "Uso de órdenes parciales para el análisis y síntesis de circuitos asíncronos", Taller sobre técnicas de despliegue y orden parcial (OVNI) 2007, págs. 12-16. . Consultado el 15 de julio de 2015. Archivado desde el original el 4 de marzo de 2016.
  123. D. Sokolov, A. Yakovlev, "Circuitos sin reloj y síntesis de sistemas", Actas de IEE, Computadoras y técnicas digitales, vol. 152, núm. 3, págs. 298-316, 2005.
  124. JA Brzozowski, C.-JH Seger, "Diseño de circuitos asíncronos", Capítulo 15 en Circuitos asíncronos. Springer, 1995, 404 págs. . Consultado el 3 de octubre de 2017. Archivado desde el original el 31 de enero de 2018.
  125. R. Puri, Diseño lógico asíncrono. Capítulo en Wiley Encyclopedia of Electrical and Electronics Engineering, págs. 726-741, 2001. . Consultado el 4 de agosto de 2015. Archivado desde el original el 3 de diciembre de 2015.
  126. Informe de ACiD-WG sobre "Diseño, automatización y prueba de circuitos y sistemas asíncronos", editado por D. Edwards y W. Toms, 2004. Archivado desde el original el 9 de octubre de 2006.
  127. B. S. Tsirlin, "G-trigger", Certificado de copyright SU1324106, 15/07/1987.
  128. B. S. Tsirlin, "G-trigger", Certificado de copyright SU1162019, 15/06/1985.
  129. B. S. Tsirlin, "G-trigger", Certificado de copyright SU1324107, 15/07/1987.
  130. B. S. Tsirlin, "G-trigger", Certificado de copyright SU1324108, 15/07/1987.
  131. L. Ya. Rosenblum, "El lenguaje de los gráficos de señales y su uso para modelar protocolos de intercambio de información y circuitos aperiódicos", Seminario de toda la Unión Modelado de sistemas informáticos y de control discreto, págs. 22-24, 1981. . Consultado el 19 de abril de 2019. Archivado desde el original el 29 de julio de 2021.
  132. L. Ya. Rosenblum y AV Yakovlev, "Gráficos de señales: de cronometrados a cronometrados", IEEE Int. Taller sobre Redes de Petri Temporizadas, 1985, pp. 199-207. . Consultado el 2 de septiembre de 2015. Archivado desde el original el 23 de octubre de 2003.
  133. T.-A. Chu, CKC Leung y TS Wanuga, "Una metodología de diseño para sistemas VLSI concurrentes", IEEE Int. Conferencia sobre Diseño de Computadoras (ICCD) 1985, pp. 407-410.
  134. AV Yakovlev, "Sobre las limitaciones y extensiones del modelo STG para diseñar circuitos de control asíncronos", IEEE Int. Conferencia sobre Diseño Informático (ICCD) 1992, págs. 396-400. . Consultado el 10 de marzo de 2016. Archivado desde el original el 11 de marzo de 2016.
  135. 1 2 V. I. Varshavsky, M. A. Kishinevskii, A. Yu. Kondratiev, "Modelos para la especificación y análisis de procesos en circuitos asíncronos", Izv. Academia de Ciencias de la URSS. Cibernética Técnica, 1988, No. 2, pp. 171-190. Archivado el 31 de enero de 2018 en Wayback Machine (VI Varshavsky, MA Kishinevsky, A. Yu. Kondratyev, L. Ya. Rosenblum y AR Taubin, "Modelos para especificación y análisis de procesos en circuitos asíncronos", Revista soviética de informática y sistemas Sciences, vol.26, 1989, pp. 61-76.)
  136. M. A. Kishinevsky, A. Yu. Kondratyev, A.R. Taubin, "Especificación y análisis de circuitos autotemporizados", Journal of VLSI Signal Processing, vol. 7, núm. 1, págs. 117-135, 1994. . Fecha de acceso: 18 de septiembre de 2015. Archivado desde el original el 3 de febrero de 2016.
  137. U. Schwiegelshohn, L. Thiele, "Propiedades de los diagramas de cambio", cap. 4 en Diseño de Hardware y Redes de Petri, pp. 77-92, 2000. . Consultado el 27 de enero de 2016. Archivado desde el original el 19 de abril de 2016.
  138. KM Fant y SA Brandt, "NULL Convention Logic TM : una lógica completa y coherente para la síntesis de circuitos digitales asíncronos", IEEE Int. Conferencia sobre Sistemas, Arquitecturas y Procesadores de Aplicaciones Específicas (ASAP) 1996, pp. 261-273.
  139. M. Ligthart, K. Fant, R. Smith, A. Taubin y A. Kondratyev, "Diseño asíncrono con herramientas comerciales de síntesis de HDL", en IEEE Int. Síntoma en Asíncrono. Circuitos y Sistemas (ASYNC), 2000, págs. 114-125.
  140. C. Jeong y SM Nowick, "Asignación de tecnología para redes de umbral asíncronas robustas", ACM/IEEE Int. Workshop on Timing Issues (TAU) 2006, pp. 22-27. . Consultado el 11 de mayo de 2019. Archivado desde el original el 29 de agosto de 2017.
  141. MT Moreira, CHM Oliveira, RC Porto y NLV Calazans, "NCL+: Lógica de convención nula de retorno a uno", IEEE Int. Simposio del Medio Oeste sobre Circuitos y Sistemas (MWSCAS) 2013, págs. 836-839. . Fecha de acceso: 22 de septiembre de 2015. Archivado desde el original el 6 de febrero de 2016.
  142. G. E. Sobelman y D. Parker, "Arreglo de puertas programables". Patente US5986466, 16 de noviembre. 1999. . Consultado el 14 de julio de 2020. Archivado desde el original el 14 de julio de 2020.
  143. 1 2 A. Kondratyev, "Flujo asíncrono de rieles múltiples con detección de finalización y sistema y método para diseñar el mismo", Patente US6526542, 2 de febrero de 2019. 25, 2003. . Consultado el 7 de mayo de 2019. Archivado desde el original el 7 de mayo de 2019.
  144. A. I. Bukhshtab, V. I. Varshavsky, V. B. Marakhovsky et al., "Universal logic module", Certificado de autor SU561182, 05/06/1977.
  145. RO Winder, "Flip-flop que emplea tres puertas lógicas de mayoría-minoría interconectadas", Patente US3403267, sep. 24, 1968. . Consultado el 26 de julio de 2019. Archivado desde el original el 26 de julio de 2019.
  146. RO Winder, "Threshold gate counters", Patente US3519941, julio de 2010. 7, 1970. . Consultado el 26 de julio de 2019. Archivado desde el original el 26 de julio de 2019.
  147. V. N. Taziyan, "Disparador de conteo", Certificado de copyright SU372697, 01/03/1973. . Consultado el 29 de julio de 2019. Archivado desde el original el 29 de julio de 2019.
  148. S. O. Mkrtchyan, "IK trigger", Certificado de copyright SU421111 25/03/1974. . Consultado el 26 de julio de 2019. Archivado desde el original el 26 de julio de 2019.
  149. A. N. Foyda, "Registro de turnos", Certificado de copyright SU643974, 25/01/1979. . Consultado el 25 de marzo de 2019. Archivado desde el original el 25 de marzo de 2019.
  150. B. S. Tsirlin, "Registro en serie asíncrono", Certificado de copyright SU1805501, 30/03/1993.
  151. G. Gopalakrishnan, "Algunos circuitos de microtuberías inusuales", Informe UUCS-93-015, Universidad de Utah, págs. 1-16, 1993. . Consultado el 11 de abril de 2019. Archivado desde el original el 11 de abril de 2019.
  152. N. Starodoubtsev y A. Yakovlev, "Isochronic fork-free asynchronous circuits", UK Asynchronous Forum 2000, págs. 55-60. . Consultado el 15 de mayo de 2022. Archivado desde el original el 15 de mayo de 2022.
  153. N. Starodoubtsev, S. Bystrov y A, Yakovlev, "Circuitos monotónicos con reconocimiento completo", IEEE Int. Simposio sobre circuitos y sistemas asíncronos (ASYNC) 2003, págs. 98-108. . Consultado el 20 de julio de 2017. Archivado desde el original el 31 de enero de 2018.
  154. 1 2 V. B. Marakhovsky, Diseño lógico de circuitos asíncronos. Diapositivas sobre el curso, Departamento de AiVT SPbSPU. . Consultado el 22 de agosto de 2015. Archivado desde el original el 4 de marzo de 2016.
  155. V. I. Varshavsky, A. Yu. Kondratiev, N. M. Kravchenko, B. S. Tsirlin, "G-trigger", Certificado de autor SU1411934, 23/07/1988.
  156. V. I. Varshavsky, N. M. Kravchenko, V. B. Marakhovsky, B. S. Tsirlin, "G-trigger", Certificado de autor SU1443137, 12/07/1988.
  157. V.I. Varshavsky, N.M. Kravchenko, V.B. Marakhovsky y B.S. Tsirlin, "CMOS-transistor storage device", Certificado de autor SU1365129, 07/01/1988.
  158. B. S. Tsirlin, A. Yu. Kondratiev, N. A. Goldin y V. A. Romanovsky, "Memoria de acceso aleatorio", Certificado de copyright SU1589324, 30/08/1990.
  159. U. Cummings y A. Lines, "Memoria de acceso aleatorio estática asincrónica", Patente US7161828, enero de 2010. 9, 2007.
  160. A. Baz, D. Shang y A. Yakovlev, "SRAM con sincronización automática para sistemas de recolección de energía", Journal of Low Power Electronics, vol. 7, núm. 2, 2011, págs. 274-284. . Consultado el 28 de julio de 2017. Archivado desde el original el 28 de julio de 2017.
  161. JT Udding, Clasificación y composición de circuitos insensibles al retardo, tesis doctoral, Universidad Tecnológica de Eindhoven, 1984.
  162. CE Molnar, TP Fang y FU Rosenberger, "Síntesis de módulos insensibles al retardo", Conferencia de Chapel Hill sobre VLSI, 1985.
  163. H. Saito, A. Kondratyev, J. Cortadella, L. Lavagno, A. Yakovlev, "¿Cuál es el costo de la insensibilidad al retraso?" Internacional IEEE/ACM Conferencia sobre Diseño Asistido por Computadora 1999, pp. 316-323. . Fecha de acceso: 27 de enero de 2016. Archivado desde el original el 1 de febrero de 2016.
  164. B. S. Tsirlin, "La base mínima para la implementación de circuitos secuenciales", Izv. Academia de Ciencias de la URSS, Technical Cybernetics, No. 2, 1985, pp. 91-97. Archivado el 31 de enero de 2018 en Wayback Machine (BS Tsirlin, "Minimal Basis for Realization of Sequential Circuits", Soviet Journal of Computer and Systems Sciences, vol. 23, 1985, págs. 26-31).
  165. V. I. Varshavsky, M. A. Kishinevskiy, V. B. Marakhovsky, L. Ya. Rosenblum, "Completitud funcional en la clase de circuitos semimodulares", Actas de la Academia de Ciencias de la URSS, Cibernética técnica, No. 3, 1985, p. 103—114. ( VI Varshavskiy, MA Kishinevskiy, VB Marakhovskiy y L. Ya. Rozenblyum, "Functional Completeness in the Class of Semimodular Circuits", Revista soviética de ciencias informáticas y de sistemas, vol. 23, no. 6, 1985, págs. 70-80 Archivado el 31 de enero de 2018 en Wayback Machine )
  166. B. S. Tsirlin, "Revisión de problemas equivalentes para la implementación de circuitos en base NAND que no dependen de la velocidad", Izv. Academia de Ciencias de la URSS, Technical Cybernetics, No. 2, 1986, pp. 159-171. Archivado el 29 de julio de 2017 en Wayback Machine . 58-69.)
  167. VI Varshavsky, VB Marakhovsky, RA Lashevsky, "Transmisión de datos con sincronización automática en sistemas informáticos paralelos masivos", Ingeniería asistida por computadora integrada, vol. 4, núm. 1, págs. 47-65, 1997.
  168. SJ Piestrak, "Lógica de prueba de membresía para códigos insensibles al retraso", IEEE Int. Simposio sobre investigación avanzada en circuitos y sistemas asíncronos (ASYNC) 1998, págs. 194-204. . Consultado el 3 de febrero de 2017. Archivado desde el original el 31 de enero de 2018.

Lecturas adicionales

Informes y libros

  1. DE Muller, Teoría de circuitos asíncronos. Reporte no. 66, Laboratorio de Computación Digital, Universidad de Illinois en Urbana-Champaign, 1955.
  2. JC Nelson, Circuitos de conteo independientes de la velocidad. Reporte no. 71, Laboratorio de Computación Digital, Universidad de Illinois en Urbana-Champaign, 1956.
  3. DE Muller, WS Bartky, Una teoría de circuitos asíncronos I. Informe no. 75, Laboratorio de Computación Digital, Universidad de Illinois en Urbana-Champaign, 1956.
  4. DE Muller, WS Bartky, Una teoría de circuitos asíncronos II. Reporte no. 78, Laboratorio de Computación Digital, Universidad de Illinois en Urbana-Champaign, 1957.
  5. JH Shelly, Los problemas de decisión y síntesis en la teoría de conmutación semimodular, tesis doctoral, Universidad de Illinois en Urbana-Champaign, 1959, 93 p.
  6. WS Bartky, Una teoría de los circuitos asíncronos III. Reporte no. 96, Laboratorio de Computación Digital, Universidad de Illinois en Urbana-Champaign, 1960.
  7. AM Bush, un método para detectar la finalización de operaciones en circuitos informáticos asíncronos independientes de la velocidad. Tesis de maestría, Instituto de Tecnología de Georgia, 1961, 67 p.
  8. RE Swartwout, Más estudios sobre lógica independiente de la velocidad para un control. Tesis de doctorado, Universidad de Illinois en Urbana-Champaign, 1962, 104p.
  9. A. N. Yurasov, Teoría de la construcción de circuitos de relé. Gosenergoizdat, 1962, 119p.
  10. WD Frazer, Una teoría de conmutación para redes bilaterales de elementos de umbral. Tesis de doctorado, Universidad de Illinois en Urbana-Champaign, 1963, 69 p.
  11. KE Batcher, realizaciones NOR independientes de la velocidad. Tesis de doctorado, Universidad de Illinois en Urbana-Champaign, 1964, 44 p.
  12. R. Miller, Teoría de los circuitos de conmutación independientes de la velocidad, cap. 10 en libro. Teoría de los circuitos de conmutación. Tomo 2: Circuitos y Máquinas Secuenciales. Nauka, 1971, págs. 242-298.
  13. PS Thiagarajan, Modelos algebraicos para estructuras de control asincrónicas. Tesis de doctorado, Universidad Rice, 1972, 133p.
  14. A. G. Astanovsky, V. I. Varshavsky, V. B. Marakhovsky y otros Autómatas aperiódicos. M. Nauka, 1976, 423 p.
  15. B. S. Tsirlin. Cuestiones de síntesis de circuitos aperiódicos. Tesis doctoral Leningrado. aviación en t fabricación de instrumentos, 1976, 215 p.
  16. S. Anger, Circuitos que generan señales de terminación, § 6.1 del libro. Circuitos secuenciales asíncronos, Nauka, 1977, 400s.
  17. A. Friedman y P. Menon, Elementos lógicos con retrasos ilimitados, § 4.9 en el libro. Teoría y diseño de circuitos de conmutación, M. Mir, 1978, pp. 275-282.
  18. CL Seitz, "Sistema de temporización", cap. 7 en Introducción a los sistemas VLSI, C. A Mead y L. A Conway, págs. 218-262, Addison-Wesley, 1980.
  19. BS Tsirlin, Álgebra y análisis de circuitos lógicos asíncronos. Preprint, Instituto de Socio-Econ. problema Academia de Ciencias de la URSS, 1981, 39 p.  (enlace no disponible)
  20. Yu. V. Mamrukov, Análisis de circuitos aperiódicos y procesos asíncronos. Tesis doctoral LETI, 1984, 219 págs.  (enlace no disponible)
  21. NA Starodubtsev, Síntesis de esquemas de control para sistemas informáticos paralelos. L. Nauka, 1984, 191 págs.
  22. V. I. Varshavskii, M. A. Kishinevskii, V. B. Marakhovsky et al. Control automatizado de procesos asincrónicos en computadoras y sistemas discretos. M.: Nauka, 1986. Traducido al inglés como Self-Timed Control of Concurrent Processes: The Design of Aperiodic Logical Circuits in Computers and Discrete Systems.
  23. VI Varshavsky (ed.), Soporte de hardware de procesos asincrónicos paralelos. Informe de investigación, Universidad Tecnológica de Helsinki, 1987, 235 p.
  24. EJÉRCITO DE RESERVA. Chu, Síntesis de circuitos VLSI autotemporizados a partir de especificaciones teóricas de grafos. Doctor. tesis, Instituto de Tecnología de Massachusetts, 1987, 189 p.
  25. L. Hluchý, B. Cirlin, B. Gaži, K. Košuk, T. Pažurová, Rýchly sériový asynchrónny kanál (canal asíncrono en serie rápido). reporte. Instituto de Cibernética Técnica SAS, Bratislava, 1988.
  26. VI Varshavsky, Circuitos insensibles a los retrasos en transistores y cables. informe tecnico nro. 7, Universidad Tecnológica de Helsinki, 1989, 42 p.
  27. G. Gopalakrishnan y P. Jain, Algunas metodologías recientes de diseño de sistemas asincrónicos. Informe Técnico UUCS-TR-90-016. Departamento de Ciencias de la Computación, Universidad de Utah, 1990, 16 p.
  28. L. Lavagno, Síntesis y prueba de circuitos asíncronos de retardo de cable delimitado a partir de gráficos de transición de señal. Tesis de doctorado, Universidad de California en Berkeley, 1992, 306 p.
  29. O. A. Izosimov. Métodos para la síntesis y análisis dinámico de CMOS VLSI autotemporizados. Tesis doctoral, MEPhI, 1993, 165 p.
  30. M. Kishinevsky, A. Kondratyev, A. Taubin y V. Varshavsky, Concurrent Hardware: The Theory and Practice of Self-Timed Design, Wiley, 1993, 388 p.
  31. K. van Berkel, Circuitos de protocolo de enlace: una arquitectura asíncrona para la programación VLSI. Cambridge, 225 págs.
  32. PA Beerel, herramientas CAD para la síntesis, verificación y capacidad de prueba de circuitos asincrónicos robustos. Tesis doctoral, Universidad de Stanford, 1994.
  33. JA Brzozowski, C.-JH Seger, Circuitos asíncronos. Springer, 1995, 404 págs.
  34. SS Appleton, Diseño dirigido por el rendimiento de sistemas VLSI asíncronos. Tesis de doctorado, Universidad de Adelaide, 1997, 285p.
  35. SP Wilcox, Síntesis de circuitos asíncronos. Tesis doctoral, Universidad de Cambridge, 1999, 250 p.
  36. CJ Myers, Diseño de circuitos asíncronos. Wiley, 2001, 392 págs.
  37. J. Sparsø, "Diseño de circuitos asíncronos: un tutorial", capítulos 1 a 8 en Principios del diseño de circuitos asíncronos: una perspectiva de sistemas. Kluwer, 2001, 152p. Traducido al ruso como "Diseño de circuitos asíncronos: una guía introductoria"
  38. J. Cortadella, M. Kishinevsky, A. Kondratyev, L. Lavagno y A. Yakovlev, Síntesis lógica para controladores e interfaces asíncronos. Springer, 2002, 272 págs.
  39. A. Yakovlev, Teoría y práctica del uso de modelos de concurrencia en el diseño de hardware. DSc. tesis basada en publicaciones, Universidad de Newcastle upon Tyne, 2005, 27 p.
  40. KM Fant, Diseño Lógicamente Determinado: Diseño de Sistemas Sin Reloj con Lógica de Convención NULL. Wiley, 2005, 292 págs.
  41. WB Toms, Síntesis de circuitos de ruta de datos cuasi-insensibles al retraso. Tesis doctoral, Universidad de Manchester, 2006, 237 p.
  42. PA Beerel, RO Ozdag, M. Ferretti, Guía del diseñador para VLSI asíncrono. Cambridge, 2010, 339 págs.
  43. LP Plekhanov, Fundamentos de los circuitos electrónicos autosincrónicos. Binom, 2013, 208 págs.
  44. V. B. Marakhovsky, L. Ya. Rosenblum, A. V. Yakovlev. Simulación de procesos paralelos. redes de petri. San Petersburgo, Literatura Profesional, 2014, 400s.
  45. D. Furey, Circuitos insensibles al retardo. Plumstead, 2019, 652 págs.

Artículos

Patentes